CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - can vhdl

搜索资源列表

  1. FPGA_VHDL_code

    1下载:
  2. FPGA学习非常珍贵的资料,包括USB、UART、I2C、Ethernet、VGA、CAN等总线的VHDL实现,可以直接应用于实际项目中。需要的请下载。 -FPGA to learn very valuable information, including USB, UART, I2C, Ethernet, VGA, CAN bus, such as VHDL to achieve, can be directly applied to actual projects. Need to do
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1606118
    • 提供者:suzhenwei
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. iic_master

    0下载:
  2. it is a iic source verilog code with its testcase which can act only as master
  3. 所属分类:Communication

    • 发布日期:2017-03-25
    • 文件大小:3081
    • 提供者:nifrad
  1. ram

    0下载:
  2. 一个用VHDL语言编写的双端口存储器程序,可下载在FPGA中使用-Written in VHDL language using a dual-port memory program can be downloaded in the FPGA using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4198
    • 提供者:cloudy
  1. serial

    0下载:
  2. -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在 --PC机上安装一个串口调试工具来验证程序的功能。 -- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 --制器,10个bit是1位起始位,8个数据位,1个结束 --位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 --现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:65639
    • 提供者:johnson
  1. mul(FLP)

    2下载:
  2. 一个32位元的浮点数乘法器,可将两IEEE 754格式的值进行相乘-A 32-bit floating-point multipliers, can be two format IEEE 754 values multiplied
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1765
    • 提供者:TTJ
  1. ps2

    0下载:
  2. vhdl实现ps2接口的程序,可以接受键盘的输入或者鼠标的输入.-VHDL procedures to achieve ps2 interface can accept keyboard input or mouse input.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:939
    • 提供者:liaocongliang
  1. LCD

    1下载:
  2. LCD1602的程序,只需改一改显示常量就可以!在ISE中调试成功-LCD1602 process, just simply show the constants can be! Successful commissioning of the ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2457
    • 提供者:zyb
  1. EP1C3_12_5_RSV

    2下载:
  2. 基于FPGA的数字存储示波器,用VHDL实现的,压缩包里是Quartus工程。AD采样送进FPGA,存入SRAM后用DA在普通示波器上可以显示。-FPGA-based digital storage oscilloscope, using VHDL achieved compression is Quartus project bag. AD sample into FPGA, after SRAM into DA in ordinary oscilloscope can display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61189
    • 提供者:deadtomb
  1. CRC

    1下载:
  2. 这个是我花了一个星期的CRC算法,有并行与串行的区别与时序的分析。。。。希望站长能够同意-This is a week I spent the CRC algorithm, there is the difference between parallel and serial and timing analysis. . . . Hope that regulators can not agree
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-02
    • 文件大小:752170
    • 提供者:heshuiming
  1. ldcp_verilog

    2下载:
  2. ldpc verilog 程序 做ldpc硬件实现的可以-ldpc verilog procedures do LDPC hardware implementation can
  3. 所属分类:Compiler program

    • 发布日期:2017-03-23
    • 文件大小:9323
    • 提供者:nzh
  1. zy

    0下载:
  2. 这是一个vhdl的例子 ,可以实现密码锁-This is a VHDL example, you can achieve it locks work
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:48686
    • 提供者:光芒电子
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. dft

    1下载:
  2. verilog语言实在点变换DFT源代码,可以配合软核或者其他CPU进行综合FFT变换,也可以单独使用生成module!-verilog language is point FFT transform source code, can tie in with the soft-core CPU, or other integrated FFT transform, it can be used to generate module!
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-23
    • 文件大小:1399
    • 提供者:刘庆
  1. up_buhuo

    3下载:
  2. 这是一个VERILOG接收端捕获模块,扩频码32倍,可以检测到相关峰-This is a VERILOG receiver capture module ,the spreader is 32,it can test the correlation peak
  3. 所属分类:通讯/手机编程

    • 发布日期:2014-01-06
    • 文件大小:2286
    • 提供者:陈丽君
  1. H.264Decoder

    1下载:
  2. H.264解码器,用verilog写成,可以在FPGA上实现baseline的264解码-H.264 decoder, written with verilog, can be achieved in the FPGA on the baseline of 264 decoding
  3. 所属分类:2D Graphic

    • 发布日期:2017-05-17
    • 文件大小:5109760
    • 提供者:sunwind
  1. FPGAPLL

    0下载:
  2. FPGA做的PLL 可以使用,比软件自带的省一些资源-PLL can be used FPGA to do more than the software comes with some of the resources of the province,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:112893
    • 提供者:李小虎
  1. fpga

    1下载:
  2. VHDL写的fpga程序,可产生三角波,方波据此波,正弦波,可实现任意频偏的调频,调相,调幅-Fpga write VHDL program can generate triangle wave, square wave accordingly wave, sine wave, can achieve any frequency offset of the FM, PM, AM
  3. 所属分类:驱动编程

    • 发布日期:2013-05-06
    • 文件大小:12225227
    • 提供者:陈俊涵
  1. dds

    0下载:
  2. 基于FPGA的双路可移相任意波形发生器 Altera中国大学生电子设计文章竞赛获奖作品刊登-FPGA-based dual phase shifter can be arbitrary waveform generator Altera China Undergraduate Electronic Design Contest winning entries published articles
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1696262
    • 提供者:姜兆刚
  1. sram64kx8

    0下载:
  2. 基于VHDL的一种SRAM模块,简单,但是可参考性强-A VHDL-based SRAM modules, simple, but can be refered strongly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1492
    • 提供者:Thomas
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com